Europaudvalget 2022-23 (2. samling)
EUU Alm.del
Offentligt
2698311_0001.png
Critical uses of PFAS chemicals and materials in the semiconductor industry
7
th
November 2022
To whom it may concern,
Five of the members of the World Semiconductor Council (Semiconductor Industry Associations in
China, Korea, the United States, Chinese Taipei and Europe) as well as SEMI submit for consideration
by RIVM newly obtained information that is related to critical and essential uses of PFAS chemicals
and materials in several different semiconductor manufacturing processes.
The definition of a PFAS is now very expansive, encompassing not only the highly specialized
chemistries that are known to be essential to photolithography applications, but also chemicals
required for use in other applications, including applications within semiconductor manufacturing
equipment, facility support and chemical delivery systems, as well as those required for use within
finished semiconductor products. For the majority of these applications, viable non-PFAS substitutes
have not been identified nor developed as needed to enable substitution of current PFAS uses
required for use in the semiconductor manufacturing process. As such, the Associations of the WSC
intend to make clear that to ensure the ongoing availability of semiconductor products, wide-ranging
derogations will need to be provided to the semiconductor industry to enable ongoing manufacturing
and to allow for sufficient time to identify, develop and implement non-PFAS alternatives wherever
substitution may be possible.
Importance of Semiconductors
Semiconductors are used in many applications that are essential to everyday life and security,
including consumer electronics, healthcare, transportation, communications, and military
applications. Semiconductors are a key enabler of low carbon and energy efficient innovative
solutions that reduce our dependence on fossil fuels and minimize emissions. Semiconductors help
reduce society's environmental footprint, by optimizing energy usage in transportation,
manufacturing, services, and consumer products. Semiconductors facilitate the transition towards a
decarbonized economy while simultaneously contributing to an innovative and sustainable and safer
society. Semiconductor devices are also a key enabler of greenhouse gas reductions within other
industries and services, such as energy, manufacturing, agriculture, land use, construction, and traffic
management
1
.
An Introduction to the Semiconductor Process
The manufacturing of semiconductors is a highly complex process that requires the building of uniform
and minute transistors that include features that may be only a few atoms or molecules wide, as exact
replicates numbering in the billions across the surface of a wafer. A single wafer may go through one
or more of these process steps multiple times before processing is complete. A manufacturing process
of such high precision is only possible through use of a multitude of chemicals, materials and
1
From
Digital technology can cut global emissions by 15%. Here’s how,
World Economic Forum, 2019
https://www.weforum.org/agenda/2019/01/why-digitalization-is-the-key-to-exponential-climate-action/
1
EUU, Alm.del - 2022-23 (2. samling) - Supplerende svar på spørgsmål 49: Spm. om, hvilke interessenter, virksomheder mv. ministeriet har været i dialog med i forbindelse med ønsket om at indføre et forbud imod anvendelsen af PFAS, til miljøministeren, kopi til udenrigsministeren
2698311_0002.png
sophisticated manufacturing equipment that provide the unique performance characteristics capable
of meeting demanding performance requirements.
Introduction to the Essential Use of PFAS Chemistries and Materials
The carbon fluorine bond is one of the strongest polarized single bonds known and provides essential
function due to their unique ability to simultaneously provide for multiple performance requirements
that are critical for manufacturing
2
. PFAS chemistries and materials are now present in as many as a
thousand individual use applications due to their inherent characteristics, with key examples listed
below:
-
-
-
-
-
-
-
Low refractive index and other optical properties
Ability to generate superacids
Low surface energy
Unique solubility
Stability and Chemical Inertness
Low flammability
Low outgassing and/or particle shed
The list above is not exhaustive, and further examples can be provided as necessary.
The SIA Semiconductor PFAS Consortium
The SIA Semiconductor PFAS Consortium
3
is comprised of semiconductor manufacturers and
members of the supply chain including chemical, material and equipment suppliers that have come
together with the intent to better inform PFAS related public policy and legislation through the
collection and sharing of technical data, including:
- Identification of critical and essential PFAS uses,
- Application of the pollution prevention hierarchy to, where possible: reduce PFAS
consumption or eliminate use, identify alternatives, and minimize and control emissions,
- Identification of research needs, and
- Development of socioeconomic impact assessments
More detailed technical information is forthcoming and will be ready for sharing soon.
An Overview of New PFAS-Use Information Compiled by the SIA Semiconductor PFAS Consortium
Much new information has been generated since the Consortium was convened in January of 2022,
which can be summarized as detailed below.
PFAS chemistries and materials are used extensively in the semiconductor manufacturing process and
generally fall into three categories of use:
2
Christopher K. Ober, Florian Käfer, Jingyuan Deng, “The essential use of fluorochemicals in lithographic
patterning and semiconductor processing,”
J. Micro/Nanopattern. Mater. Metrol. 21(1), 010901 (2022), doi:
10.1117/1.JMM.21.1.010901, available at
http://dx.doi.org/10.1117/1.JMM.21.1.010901.
3
Public Statement of the Semiconductor PFAS Consortium
https://www.semiconductors.org/public-statement-of-the-semiconductor-pfas-consortium/
2
EUU, Alm.del - 2022-23 (2. samling) - Supplerende svar på spørgsmål 49: Spm. om, hvilke interessenter, virksomheder mv. ministeriet har været i dialog med i forbindelse med ønsket om at indføre et forbud imod anvendelsen af PFAS, til miljøministeren, kopi til udenrigsministeren
2698311_0003.png
-
-
-
PFAS chemistries and materials used in manufacturing equipment and infrastructure,
including heat transfer fluids and refrigerants, lubricants, sealants, and fluoropolymers used
in manufacturing chambers, water/chemical conveyance systems and water production and
environmental abatement systems
PFAS chemistries used directly within the semiconductor manufacturing processes, including
lithography photoresists, dry etch and chamber clean gases, surface modification, and other
applications
PFAS chemistries and materials required for incorporation in the final semiconductor
packages that are the products sold by the semiconductor industry
PFAS chemistries and materials are used in perhaps as many as a thousand or more individual use
applications, and for the majority of these applications, potential non-PFAS substitutes are not known
or currently viable.
Estimated Timelines That Would Be Required to Identify and Implement Non-PFAS Alternatives
The semiconductor industry would require a considerable amount of time to identify, develop and
implement suitable non-PFAS alternatives for current use applications to the extent feasible. Because
the semiconductor manufacturing process needs to both maintain and improve the performance of
its products over time, the industry follows a standard method for identifying, developing, and
implementing promising alternative chemicals, materials and technologies. Such activities occur in
stages that include:
- Identification of promising chemistries and technologies as potential alternatives
- Development of potential alternatives into proven manufacturing solutions
- Integration of new manufacturing solutions into new and existing manufacturing processes
- Qualification of new processes to ensure appropriate matching to performance requirements
for each manufacturing step, and
- Demonstration of device performance in end applications ensure minimum requirements are
met in the end-product applications
- Qualification of end product application
Overall, at least 12+ years is required to complete most individual substitution efforts once suitable
alternatives are identified. For the pending PFAS regulatory restriction, this timeline is compounded
by the high number of substitutions that will be required, and the fact that completion of many
simultaneous substitutions will be extraordinarily difficult, if even possible. As such, the Associations
of the WSC believe that a considerable amount of time and effort would be required, and that even
with the allowance of an extended amount of time, achieving the end goal of complete substitution
of all PFAS chemistries and materials may prove to be elusive. Additionally, the effort required to
replace legacy uses of PFAS will
severely limit the industry’s ability to innovate new technologies due
to competing resources.
3
EUU, Alm.del - 2022-23 (2. samling) - Supplerende svar på spørgsmål 49: Spm. om, hvilke interessenter, virksomheder mv. ministeriet har været i dialog med i forbindelse med ønsket om at indføre et forbud imod anvendelsen af PFAS, til miljøministeren, kopi til udenrigsministeren
2698311_0004.png
The Semiconductor Industry Has a Demonstrated Commitment to Sustainability
The Semiconductor industry has made notable voluntary commitments to improve its sustainability
in, such as the voluntary phase out of PFOS and PFOA
4
, and to achieve GHG reductions. It is notable
that these efforts were voluntary in nature. Despite the relatively limited scope of effort involved,
compared to the larger effort that substitution of the entire class of PFAS will entail, more than 10
years was required to substitute PFOS and PFOA, while the effort to reduce GHGs started over 25
years ago and remains a work in progress.
Thank You
The associations would like to thank RIVM for their consideration of these comments. We welcome
any further consultation that may be desired to enable further common understanding of the
industries need for essential PFAS use applications. For any inquiries, please contact: Mathias Müller
([email protected]).
Sincerely,
Endorsed by the Semiconductor industry associations in:
o
China
o
Chinese Taipei
o
Europe
o
Korea
o
US
And by SEMI
4
WSC Joint Statement of May 2017, page 25-26 (available at
http://www.semiconductorcouncil.org/wp-
content/uploads/2017/05/21st-WSC-Joint-Statement-May-2017-Kyoto-Final1.pdf
4